Follow these instructions to create and simulate a project in Quartus. Adapted from E15A lab by Erik Cheever.

Installation

<aside> ⚠️ Quartus may already be installed on your lab computer. If it is, verify it is version 20.1 (it should say in the title or when you open it). If it is NOT, you will need to follow the instructions below.

</aside>

  1. Quartus is Windows/Linux only. Download Quartus Lite from here (choose multiple download and click to download the .tar file). Make sure you are downloading version 20.1.1.
  2. If you don’t already have software to extract .tar files, download one (e.g. 7Zip).
  3. Extract the .tar file after it has finished downloading to a folder inside your downloads by right clicking and choosing 7Zip > extract and select a folder with the name of the download.
  4. Enter the folder and double click setup.bat.
  5. Unselect all devices except Cyclone V. Also uncheck Quartus Prime Help. Make sure the free ModelSim is still checked. Then proceed with the installation.
  6. Run and launch Quartus Prime. Click “run without a license” at the prompt. You may need to launch it again if it doesn’t open after a couple of moments.

Creating a New Project

  1. Create a folder for files for this lab in a location of your choosing.

  2. Start Quartus and select "New Project Wizard."

  3. On the "Directory, Name, Top-Level Entity" page, choose the directory you just created and name the project. It is a good idea to name the project the same thing as your "top-level entity." Then hit "Next."

    https://s3-us-west-2.amazonaws.com/secure.notion-static.com/6b96b0ed-8551-4c59-9771-eb77f4d65ddf/Screen_Shot_2021-03-04_at_10.34.49_AM.png

  4. Select "Empty Project" and then hit "Next."

  5. Hit "Next" on the "Add Files" screen.

  6. On the next page ("Family, Device & Board Settings"), choose the "Board" tab and choose the "DE0-CV Development Board," and then hit "Next."

    https://s3-us-west-2.amazonaws.com/secure.notion-static.com/e0534543-1181-45ed-bcbf-f8b8cbb2a5fc/Screen_Shot_2021-03-04_at_10.37.20_AM.png

  7. On the next page ("EDA Tool Settings"), under "Tool Type → Simulation" pick "ModelSim-Altera" for the "Tool name" and "Verilog HDL" for format. Then hit "Next."

  8. Hit "Finish." Your project will then setup and launch.

Creating Your First Module